Intel bắt đầu kỷ nguyên bán dẫn, công bố lộ trình công nghệ và triển khai dịch vụ đúc chip

VNZ-NEWS
Vn-Z.vn Ngày 27 tháng 07 năm 2021, Intel vừa công bố lộ trình phát triển quy trình công nghệ và công nghệ đóng gói chi tiết nhất trong lịch sử của công ty! Ngoài việc công bố kiến trúc bóng bán dẫn hoàn toàn mới đầu tiên của mình RibbonFET và mạng truyền tải điện mặt sau hoàn toàn mới đầu tiên trong ngành PowerVia. Trong hơn một thập kỷ, Intel cũng nêu rõ kế hoạch nhanh chóng áp dụng phương pháp in thạch bản cực tím EUV thế hệ tiếp theo , cụ thể là khẩu độ số cao (High-NA) EUV.


Intel dự kiến sẽ là hãng đầu tiên có được máy in thạch bản High-NA EUV trong ngành. Cũng có tin cho biết AWS đã trở thành khách hàng đầu tiên sử dụng các giải pháp đóng gói của Intel Foundry Services (IFS) , Qualcomm cũng sẽ sử dụng công nghệ xử lý Intel 20A.

CEO Intel Pat Kissinger cho biết: "Chúng tôi đang đẩy nhanh lộ trình đổi mới quy trình để đảm bảo rằng hiệu suất quy trình một lần nữa dẫn đầu ngành vào năm 2025."


CEO-Intel-Pat-Kissinger.png


Intel Giới thiệu hệ thống đặt tên nút quy trình mới và thông báo bắt đầu dịch vụ đúc . Kể từ năm 1997, phương pháp đặt tên nút quy trình dựa trên nano truyền thống không còn tương ứng với chiều dài cổng thực của bóng bán dẫn.

Trước đây, toàn bộ ngành công nghiệp đều sử dụng các sơ đồ đặt tên và đánh số nút quy trình khác nhau, điều này không thể chứng minh đầy đủ cách đạt được sự cân bằng tốt nhất giữa năng lượng và hiệu suất.

Hôm nay, Intel thông báo rằng họ đã giới thiệu một hệ thống đặt tên mới cho các nút quy trình của mình, tạo ra một khuôn khổ rõ ràng và nhất quán từ đó giúp khách hàng hiểu chính xác hơn về sự phát triển của các nút quy trình trong toàn ngành.

Kissinger nói, "Hệ thống đặt tên mới nhất của Intel dựa trên các thông số kỹ thuật chính mà khách hàng của chúng tôi coi trọng, đó là hiệu suất, năng lượng và diện tích."


intel-accel-rename.png


Node SuperFin 10nm của Intel ra mắt vào năm 2020 đã đạt được hiệu suất nâng cao hiệu suất node đơn mạnh mẽ nhất từ trước đến nay của Intel và hiện đã bắt đầu sản xuất hàng loạt. Tên gọi này sẽ không được thay đổi.

Bắt đầu từ nút tiếp theo của Intel (trước đây được gọi là Siêu phân bổ nâng cao) Intel 7, các nút tiếp theo của Intel sẽ được đặt tên là Intel 4, Intel 3 và Intel 20A. Tên cuối cùng cho thấy rằng Định luật Moore vẫn còn hiệu lực.

Các chuyên gia kỹ thuật của Intel đã trình bày chi tiết lộ trình sau, bao gồm việc đặt tên nút mới và các công nghệ sáng tạo để triển khai từng nút quy trình:

1. Dựa trên tối ưu hóa bóng bán dẫn FinFET, Intel 7 sẽ cải thiện hiệu suất trên mỗi watt khoảng 10% -15% so với Intel 10nm SuperFin. Sản phẩm khách hàng Alder Lake ra mắt vào năm 2022 sẽ sử dụng công nghệ Intel 7, sau đó là Sapphire Rapids dành cho trung tâm dữ liệu, dự kiến sẽ được đưa vào sản xuất vào quý 1 năm 2022. GPU Ponte Vecchio cũng sẽ sử dụng công nghệ Intel 7 và sẽ ra mắt vào đầu năm 2022, tích hợp các ô nền và ô bộ nhớ đệm Rambo.

2. Intel 4 hoàn toàn áp dụng công nghệ in thạch bản EUV, có thể sử dụng ánh sáng có bước sóng cực ngắn để khắc các mẫu siêu nhỏ, hiệu suất trên mỗi watt được tăng lên khoảng 20%. Intel 4 sẽ được đưa vào sản xuất vào nửa cuối năm 2022 và xuất xưởng vào năm 2023. Các sản phẩm bao gồm Meteor Lake cho khách hàng và Granite Rapids cho trung tâm dữ liệu.

Các chip máy tính khách Meteor Lake trong quý trước là một cột mốc quan trọng. Intel 4 cũng là nút quy trình đầu tiên của Intel áp dụng hoàn toàn công nghệ EUV.


6e3a2225-78c8-4810-b43f-bdcd31a55dff.png

Tấm lót thử nghiệm node Meteor Lake 4 của Intel

3. So với Intel 4, Intel 3 sẽ tăng khoảng 18% hiệu suất trên mỗi watt, với những cải tiến bổ sung về diện tích chip, nhờ vào việc tối ưu hóa FinFET và tăng cường sử dụng EUV trong nhiều quy trình hơn. Intel 3 sẽ được sử dụng trong sản xuất các sản phẩm liên quan vào nửa cuối năm 2023.

4. Intel 20A sẽ mở đầu kỷ nguyên Emmy với hai công nghệ đột phá là RibbonFET và PowerVia. Intel 20A dự kiến sẽ ra mắt vào năm 2024. Intel đang hợp tác với Qualcomm về công nghệ xử lý Intel 20A.


d8f0e0cd-b5f0-4370-ab21-2b001d2d7aa3.png


Trong số hai công nghệ cải tiến, PowerVia là mạng truyền tải điện mặt sau đầu tiên của Intel và duy nhất trong ngành, công nghệ này tối ưu hóa việc truyền tín hiệu bằng cách loại bỏ nhu cầu đi dây điện ở mặt trước của tấm wafer.

Công nghệ RibbonFET là công nghệ triển khai các bóng bán dẫn Gate All Around của Intel và sẽ là kiến trúc bóng bán dẫn hoàn toàn mới đầu tiên của Intel kể từ khi họ ra mắt FinFET lần đầu tiên vào năm 2011. Công nghệ này tăng tốc độ chuyển mạch của bóng bán dẫn, đồng thời đạt được dòng truyền động tương tự như cấu trúc "nhiều vây", nhưng chiếm một không gian nhỏ hơn.


8ac476b0-ba4c-45d5-bb33-4ab291b48da1.png


5. Lộ trình đến năm 2025 và hơn thế nữa: Node Intel 18A, từ Intel 20A, cũng đang được phát triển và sẽ ra mắt vào đầu năm 2025. Công nghệ này sẽ cải thiện RibbonFET dự kiến sẽ đạt được một bước nhảy vọt khác về hiệu suất bóng bán dẫn.

Intel có một lịch sử lâu dài về đổi mới cơ bản trong công nghệ quy trình. Theo Tiến sĩ Ann Kelleher, Phó chủ tịch cấp cao kiêm Tổng giám đốc phát triển công nghệ của Intel, Intel đã dẫn đầu quá trình chuyển đổi từ silicon căng 90nm sang cổng kim loại K cao 45nm và là công ty đầu tiên giới thiệu FinFET ở bước sóng 22nm. "

Ông hy vọng với hai công nghệ tiên phong là RibbonFET và PowerVia, Intel 20A sẽ trở thành một bước ngoặt khác trong công nghệ quy trình.

“Công nghệ sáng tạo được công bố ngày hôm nay sẽ không chỉ giúp Intel hoạch định lộ trình sản phẩm của mình mà còn rất quan trọng đối với khách hàng sử dụng dịch vụ đúc của chúng tôi.” Kissinger nói, “Ngành công nghiệp có mối quan tâm mạnh mẽ đến các dịch vụ đúc của Intel (IFS). Hôm nay tôi rất vui được chia sẻ rằng chúng tôi đã công bố hai khách hàng quan trọng cho lần hợp tác đầu tiên. Các dịch vụ đúc của Intel đã ra khơi! "

Intel sẽ có được máy in thạch bản Cao NA EUV đầu tiên trong ngành.

Intel cũng cam kết định nghĩa, xây dựng và triển khai thế hệ EUV khẩu độ số cao (High-NA EUV) tiếp theo , dự kiến sẽ là công ty có được máy in thạch bản High-NA EUV đầu tiên trong ngành. Intel có kế hoạch trở thành công ty đầu tiên thực sự là nhà sản xuất chip EUV cao-NA máy in mới vào sản xuất vào năm 2025.

Intel hiện đang hợp tác chặt chẽ với ASML để đảm bảo sự thành công của công nghệ đột phá này trong ngành, vượt qua thế hệ EUV hiện tại. Công ty con IMS của Intel là nhà cung cấp toàn cầu cho các nhà cung cấp mặt nạ đa tia EUV. Đây là một công cụ không thể thiếu để chế tạo mặt nạ có độ phân giải cao, trong đó mặt nạ là một phần quan trọng trong việc hiện thực hóa công nghệ in thạch bản EUV. Việc sử dụng công nghệ ghi mặt nạ là cực kỳ cạnh tranh đối với Intel và nó cũng là động lực chính cho các công ty cùng ngành.

Bắt đầu từ node Intel 4, Intel sẽ áp dụng hoàn toàn công nghệ in thạch bản EUV trong quá trình sản xuất các sản phẩm liên quan. Intel 3 sẽ thêm việc sử dụng EUV trong nhiều quy trình hơn để thúc đẩy mức độ cải tiến cao hơn so với nút đầy đủ tiêu chuẩn.

Amazon AWS dẫn đầu trong việc sử dụng các giải pháp đóng gói của Intel Foundry Services (IFS)

Với việc triển khai chiến lược IDM2.0 mới của Intel, đóng gói trở nên quan trọng hơn đối với việc thực hiện Định luật Moore. Intel thông báo rằng AWS sẽ là khách hàng đầu tiên sử dụng các giải pháp đóng gói của Intel Foundry Services (IFS).


b3cd52d5-f371-4346-b154-dad87352fb36.png

Tiến sĩ Ann Kelleher, Phó chủ tịch cấp cao kiêm Tổng giám đốc phát triển công nghệ của Intel

Intel đề xuất lộ trình đóng gói tiên tiến hàng đầu của ngành công nghiệp:

1. Đầu tiên là giải pháp cầu nối nhúng 2.5D, EMIB sẽ tiếp tục dẫn đầu ngành. Intel đã xuất xưởng các sản phẩm EMIB kể từ năm 2017. Sapphire Rapids sẽ là sản phẩm trung tâm dữ liệu Xeon đầu tiên được vận chuyển theo lô sử dụng EMIB (Cầu kết nối nhúng đa chip ). Đây cũng sẽ là sản phẩm đầu tiên trong ngành có hiệu suất gần như có kích thước thiết bị tương tự với thiết kế nguyên khối nhưng tích hợp hai mặt nạ . Sau Sapphire Rapids, độ dày của EMIB thế hệ tiếp theo sẽ được rút ngắn từ 55μm xuống còn 45μm.

2. Foveros sử dụng khả năng đóng gói cấp wafer cung cấp giải pháp xếp chồng 3D đầu tiên trong lịch sử. Meteor Lake là quắ trình triển khai thế hệ thứ hai của công nghệ Foveros trong các sản phẩm của khách hàng. Sản phẩm có độ dày 36 micron, các chip khác nhau có thể dựa trên nhiều node quy trình và phạm vi công suất thiết kế nhiệt là 5-125W.

3. Foveros Omni đi tiên phong trong thế hệ tiếp theo của công nghệ Foveros, cung cấp tính linh hoạt không giới hạn cho kết nối liên hoàn và thiết kế mô-đun thông qua công nghệ xếp chồng 3D hiệu suất cao. Foveros Omni cho phép phân hủy khuôn, trộn và kết hợp nhiều tấm bìa với nhiều chất nền dựa trên các nút quy trình wafer khác nhau . Foveros Omni dự kiến sẽ được sử dụng trong các sản phẩm sản xuất hàng loạt vào năm 2023.


e800ab4f-a55d-4326-ab22-7f75aab9072a.png


4. Foveros Direct là sự chuyển đổi sang liên kết đồng trực tiếp với đồng, có thể tạo ra liên kết điện trở thấp và làm cho ranh giới giữa hai loại từ chế tạo tấm wafer đến đóng gói không còn rõ ràng nữa. Foveros Direct đạt được độ cao dưới 10 micron, điều này làm tăng mật độ kết nối giữa các ngăn xếp 3D theo thứ tự độ lớn và đề xuất một khái niệm mới cho phân vùng khuôn theo chức năng, điều mà trước đây không thể thực hiện được. Foveros Direct là sản phẩm bổ sung cho Foveros Omni , dự kiến sẽ được sử dụng trong các sản phẩm sản xuất hàng loạt vào năm 2023.

Để tiếp tục duy trì vị trí hàng đầu của mình trong ngành, Intel đang tập trung thực hiện các kế hoạch tương lai khác ngoài Foveros Omni và Foveros Direct vào năm 2023, và sẽ chuyển đổi từ điện tử sang bao bì quang học quang tử silicon tích hợp trong một vài thế hệ tiếp theo.

Intel sẽ tiếp tục hợp tác chặt chẽ với các đối tác trong ngành bao gồm Leti, IMEC và IBM để phát triển hơn nữa các công nghệ đóng gói và quy trình trong các lĩnh vực nêu trên và nhiều lĩnh vực sáng tạo khác.

Intel Công bố bố trí nhà máy mới của mình ở Châu Âu và Châu Mỹ trước cuối năm nay

Kissinger đặc biệt đề cập rằng các công nghệ sáng tạo nói trên đều được sản xuất tại Hoa Kỳ, chủ yếu được phát triển tại các nhà máy của Intel ở Oregon và Arizona, điều này củng cố vị trí của Intel là công ty hàng đầu duy nhất ở Hoa Kỳ có cả năng lực R&D và sản xuất chip.

Ông tiết lộ rằng Intel dự kiến sẽ công bố thêm các nhà máy của mình ở Châu Âu và Hoa Kỳ trước cuối năm nay. Đây sẽ là khoản đầu tư khổng lồ đủ để hỗ trợ các nhà máy quy mô lớn nhằm giúp thế giới đạt được chuỗi nguồn cung cân bằng, bền vững và an toàn hơn.

Intel cũng hoan nghênh các nhà hoạch định chính sách ở Hoa Kỳ và Liên minh Châu Âu hành động với tinh thần khẩn trương giúp đẩy nhanh tiến độ các dự án của Intel và các công ty khác trong ngành vi mạch tích hợp. Rất vui khi thấy Đạo luật CHIPS được công bố gần đây hỗ trợ sản xuất và R&D chất bán dẫn của Hoa Kỳ và các sáng kiến tương tự đang được Liên minh Châu Âu thực hiện.

Với sự ra mắt của Intel Foundry Services (IFS), điều quan trọng hơn bao giờ hết là phải cung cấp cho khách hàng sự hiểu biết rõ ràng về tình hình. Tại hội nghị thượng đỉnh "Intel Innovation" sẽ được tổ chức từ ngày 27 đến ngày 28 tháng 10 năm 2021, Intel sẽ công bố thêm thông tin chi tiết.
Vn-Z team sẽ cập nhật thông tin ở các bài viết tiếp theo.

 
Trả lời

addpaz0

Rìu Sắt Đôi
Người dùng được lợi nhiều thôi 🥳
 

haivu

Administrator
Thành viên BQT
Intel bị mắc kẹt ở quy trình 14nm, thua sút đối thủ trong vấn đề PR, nên buộc phải thay đổi cách thôi.
Còn chuyện phải tiến lên công nghệ mới là bắt buộc, giới thiệu hay lộ trình cũng chỉ là tầm nhìn, chưa phải sản phẩm thương mại đến tay người dùng, thôi thì hy vọng trước vậy.
 

SexyELF

Rìu Sắt Đôi
Tài khoản bị khóa
sắp tiến hành đúc chip xếp trồng lên nhau ?